Verilog với VSCode và Modelsim CLI

Ban học tập Kỹ thuật Máy tính xin giới thiệu cách sử dụng VS Code và ModelSim CLI để thực hiện các project sử dụng Verilog. Một cách thức đơn giản hóa quá trình tạo project, giảm bớt các thao tác biên dịch, mô phỏng, có một giao diện làm việc “đẹp” hơn. Trong khi đó vẫn thực hiện đúng các chức năng của ModelSim.
Share

 Bạn là sinh viên khoa Kỹ thuật Máy tính? Bạn đang học môn HDL? Bạn thấy ModelSim quá phức tạp (hoặc không được đẹp lắm)? Vậy hãy tham khảo ngay cách này nhé!

 Với VS Code bạn sẽ:

– Đơn giản hóa quá trình tạo project.

– Giảm bớt các thao tác biên dịch, mô phỏng.

– Có một giao diện làm việc “đẹp” hơn.

Trong khi đó CLI vẫn thực hiện đúng các chức năng của ModelSim.

Hi vọng bài viết này sẽ giúp các bạn học môn HDL hiệu quả hơn!

Video demo: Demo Verilog vs VSCode & ModelSim

Liên hệ:
-Email: bht.ktmt@gmail.com
-Fanpage: Ban học tập Kỹ thuật Máy tính